Project

General

Profile

1
selfDir_uZPPqC := $(dir $(lastword $(MAKEFILE_LIST)))
2
root := $(selfDir_uZPPqC)..
3
include $(root)/lib/common.Makefile
4

    
5

    
6
##### Configuration
7

    
8
# Command line
9
log ?= $(if $(test),,1)
10
profile ?=
11
quiet ?=
12
reverify ?= 1
13
use_staged ?= $(by_col)
14

    
15
# Makefile
16
exts ?= csv tsv txt xml
17
test_n ?= 2
18

    
19
##### Vars/functions
20

    
21
# Paths
22
datasrc := $(notdir $(realpath .))
23
bin := $(root)/bin
24
mappings := $(root)/mappings
25

    
26
# Make
27
SHELL := /bin/bash
28
selfMake = $(MAKE) --makefile=../input.Makefile
29
subMake = $(MAKE) $(@:$(root)/%=%) --directory=$(root)
30
+_ = $(+:_%=)
31
addBeforeExt = $(basename $(2))$(1)$(suffix $(2))
32

    
33
# OS
34
os := $(shell uname)
35
forOs = $(patsubst %,%-$(filter Linux Darwin,$(os)),$(1))
36

    
37
# Formatting
38
SED = sed -$(if $(filter Darwin,$(os)),E,r)
39

    
40
# System
41
date = $(shell date +"%Y-%m-%d-%H-%M-%S")
42

    
43
# Terminal
44
termCols := $(shell tput cols)
45
esc := '['
46
reset := $(esc)'0m'
47
emph := $(esc)'7m '
48
endEmph := ' '$(reset)
49

    
50
# Commands
51
MKDIR = mkdir -p
52
mkdir = $(MKDIR) $(@D)
53
CP = cp -p
54
diff = diff --unified=2
55
diffIgnoreSpace = $(diff) --ignore-space-change
56
diffVerbose = $(if $(verbose),diff --side-by-side --left-column\
57
--width=$(termCols),$(diff))
58

    
59
# BIEN commands
60
sortFilenames = $(shell $(bin)/sort_filenames $(1))
61
selfMap = $(bin)/cols 0 0
62
psqlOpts := --set ON_ERROR_STOP=1 --quiet
63
psqlAsBien := $(bin)/psql_vegbien $(psqlOpts)
64

    
65
# SVN
66
addDir = $(if $(wildcard $(1)/),svn add --depth=empty $(1),svn mkdir $(1))
67
setSvnIgnore = svn propset svn:ignore $(2) $(1)
68
define addDirWithIgnore
69
$(addDir)
70
$(setSvnIgnore)
71
endef
72

    
73
##### General targets
74

    
75
all: _always maps ;
76

    
77
clean: _always
78
	$(RM) $(all)
79

    
80
remake: _always clean
81
	+$(selfMake)
82
# re-run make so that cache of existing files is reset
83

    
84
make_script = ./$< >$@
85

    
86
%/: % _always ;
87

    
88
# Must come before `%: %.make` to override it
89
%: %.make _always
90
	(set -x; $(make_script)) 2>>$<.log.sql
91
.PRECIOUS: % # save partial outputs of aborted src make scripts
92

    
93
%: %.make _always
94
	$(make_script)
95

    
96
##### SVN
97

    
98
add: _always
99
	$(call setSvnIgnore,.,'*')
100
	$(call addDirWithIgnore,verify,'*.out')
101
	$(call addFile,import_order.txt)
102

    
103
# Adds a new table subdir
104
%/add: _always
105
	$(call addDirWithIgnore,$*,'*')
106
	$(call addDirWithIgnore,$*/logs,$$'*.log.sql\n*.trace')
107

    
108
##### Existing maps discovery
109

    
110
sortFile := import_order.txt
111

    
112
tables := $(if $(wildcard $(sortFile)),$(shell cat $(sortFile)))
113
    # $(shell) replaces "\n" with " "
114
allSubdirs := $(call wildcard/,*/)
115
allTables := $(call sortFilenames,$(filter-out _% verify,$(allSubdirs:%/=%)))
116
joinedTables := $(filter-out $(tables),$(allTables))
117
allTables := $(joinedTables) $(tables)# move joined tables to beginning
118
ifeq ($(tables),)# none specified in sort file
119
tables := $(allTables)
120
endif
121

    
122
srcMaps := $(wildcard */src.csv)
123

    
124
srcMap := %/src.csv
125
viaMap := %/map.csv
126
fullViaMap := %/map.full.csv
127
directMap := %/VegBIEN.csv
128
anyMap := $(srcMap) $(viaMap) $(fullViaMap) $(directMap)
129

    
130
extsFilter := $(addprefix %.,$(exts))
131
dataOnly = $(filter $(extsFilter),$(1))
132

    
133
anyTest = $*/test.%
134
srcsOnly = $(filter-out $(anyMap) $(anyTest) %/logs,$(call dataOnly,$(1)))
135

    
136
via := Veg+
137

    
138
coreMap := $(mappings)/$(via)-VegBIEN.csv
139
coreSelfMap := $(mappings)/$(via).self.csv
140

    
141
viaMaps := $(wildcard $(tables:%=%/map.csv))
142
viaMaps += $(filter-out $(viaMaps),$(srcMaps:%/src.csv=%/map.csv))
143
viaMaps += $(filter-out $(viaMaps) $(fullViaMap),$(wildcard */map.csv))
144

    
145
autogenMaps := $(subst map.,VegBIEN.,$(viaMaps))
146
directMaps := $(autogenMaps) $(filter-out $(autogenMaps),\
147
$(wildcard */VegBIEN.csv))
148

    
149
##### Sources
150

    
151
srcs = $(call sortFilenames,$(call srcsOnly,$(wildcard $*/*)))
152
isCsv = $(if $(srcs),$(if $(filter %.xml,$(srcs)),,1))
153
    # true if $(srcs) non-empty and contains no *.xml
154
catSrcs = $(bin)/cat$(if $(isCsv),_csv) $(srcs)
155
withCatSrcs = $(catSrcs:$(bin)/%=$(bin)/with_%) --
156

    
157
# Usage: `make {--silent|-s} inputs/<datasrc>/cat` (don't echo make commands)
158
cat: $(tables:%=%/cat) _always ;
159

    
160
%/cat: _always
161
	$(catSrcs)
162

    
163
##### Staging tables installation
164

    
165
install: _always schema $(allTables:%=%/install) ;
166

    
167
uninstall: _always rm_schema ;
168
# rm_schema will also drop all staging tables
169

    
170
reinstall: _always uninstall install ;
171

    
172
schema: _always
173
	-echo 'CREATE SCHEMA "$(datasrc)";'|$(psqlAsBien)
174
# ignore errors if schema exists
175

    
176
rm_schema: _always
177
	echo 'DROP SCHEMA IF EXISTS "$(datasrc)" CASCADE;'|$(psqlAsBien)
178

    
179
# The joined tables must be suffixed with ".src" to prevent the creation of a
180
# row_num column, which collides during joins.
181
isJoinedTable = $(filter %.src,$*)
182
hasRowNum = $(if $(isJoinedTable),,1)
183

    
184
%/install: _always
185
	$(if $(isCsv),$(import_install_))
186
import_install_ = (prefix=; . $(bin)/vegbien_dest; "time" nice -n +5\
187
env schema=$(datasrc) table=$* has_row_num=$(hasRowNum) $(bin)/csv2db\
188
$(catSrcs) $(if $(log),$(if $(quiet),&>,2>&1|tee )$*/logs/install.log.sql))
189

    
190
##### Maps building
191

    
192
# Maps to (try to) build are added to one of these
193
maps :=
194
createOnlyMaps :=
195

    
196
srcRoot = $(mappings)/root.sh
197
mkSrcMap = $(catSrcs)|(. $(srcRoot); env datasrc=$(datasrc) $(bin)/src_map >$@)
198

    
199
# Src maps cleanup
200
ifneq ($(filter %/.src.csv.last_cleanup,$(MAKECMDGOALS)),)
201
%/.src.csv.last_cleanup: %/src.csv
202
	$(bin)/in_place $< $(bin)/cols +
203
	touch $@
204
else
205
# Autogen src maps with known table names
206
%/src.csv: _always
207
	$(if $(wildcard $@),,$(if $(isCsv),$(mkSrcMap)))
208
	+$(if $(isCsv),$(selfMake) $(@:%/src.csv=%/.src.csv.last_cleanup))
209
# only build if doesn't exist
210
# only build if CSV srcs exist for that table name
211
endif
212

    
213
createOnlyMaps += $(tables:%=%/src.csv)
214

    
215
# Must come before $(root)/% to override it
216
$(coreSelfMap): _always
217
	-+$(subMake)
218
# ignore errors if $(coreSelfMap) does not exist
219

    
220
# Via maps cleanup
221
ifneq ($(filter %/.map.csv.last_cleanup,$(MAKECMDGOALS)),)
222
%/.map.csv.last_cleanup: %/map.csv $(coreMap)
223
	$(bin)/in_place $< env ignore=1 $(bin)/subtract $(word 2,$+) 0 1 2
224
	touch $@
225
# Include comment column so commented mappings are never removed
226
else
227
%/map.csv: %/src.csv _always
228
	$(if $(wildcard $@),,$(if $(wildcard $<),$(CP) $< $@))
229
	+$(selfMake) $(@:%/map.csv=%/.map.csv.last_cleanup)
230
endif
231

    
232
srcMap* = $*/src.csv
233
joinSrcMap = $(if $(hasSrc),$(bin)/in_place $@ $(bin)/intersect $(srcMap*) 0)
234
hasSrc = $(shell test -s $(word 3,$+) && echo t)
235

    
236
makeFullCsv = env ignore=1 $(bin)/union <$(wordlist 1,2,$+)|$(bin)/sort_map >$@
237

    
238
%/map.full.csv: %/map.csv $(coreSelfMap)
239
	$(makeFullCsv)
240
	$(joinSrcMap)
241
maps += $(patsubst %/map.csv,%/map.full.csv,$(viaMaps))
242

    
243
%/VegBIEN.csv: %/map.full.csv $(coreMap)
244
	$(bin)/join <$+|$(bin)/sort_map >$@
245
maps += $(autogenMaps)
246

    
247
maps: $(createOnlyMaps) $(maps) _always ;
248

    
249
all += $(maps)
250

    
251
##### Maps validation
252

    
253
missingMappingsCmd = +$(selfMake) remake 2>&1\
254
|$(SED) -n 's/^.*No $(*2Space) mapping for (.*)$$/\1/p'|$(SED) 's/\/_.*//'\
255
$(if $(filter non-empty_join,$*),|$(bin)/ucase_first 0)|sort|uniq
256

    
257
include $(root)/lib/mappings.Makefile
258

    
259
##### External dependencies
260

    
261
$(root)/%: _always
262
	+$(subMake)
263
.PRECIOUS: $(root)/% # let ext. dir's Makefile decide whether to delete on error
264

    
265
##### Mapping
266

    
267
+maps = $(filter %/map.csv %/VegBIEN.csv $(mappings)/%,$(+_))
268
map = $(if $(srcs),$(withCatSrcs) $(root)/map $(+maps),\
269
$(shell echo Warning: No input file $*/*.{$(exts)} >&2)false)
270
# need false to run some command, when prefixed by `env ...` below
271
map2db = $(if $(use_staged),env in_database=vegbien in_schema=$(datasrc)\
272
in_table=$*) env out_database=vegbien $(map)
273

    
274
##### Import to VegBIEN
275

    
276
profileTest = $(if $(profile),$(if $(test),1))
277
profileOnly = -env profile_to=/dev/fd/3 $(map2db) 3>&1 1>&2|\
278
$(bin)/profile_stats /dev/fd/0
279

    
280
log_ = $*/logs/$(if $(n),n=$(n).,)$(date).log.sql
281
trace = $(log_:.log.sql=.trace)
282
import = -$(if $(profileTest),$(profileOnly),(set -x; "time" env commit=1\
283
$(if $(profile),profile_to=$(trace)) $(map2db)) $(if $(log),\
284
$(if $(n),,&>$(log_)))$(if $(log),$(if $(n), 2>&1|tee -a $(log_))))
285
# don't abort on import errors, which often relate to invalid input data
286

    
287
import: $(tables:%=%/import) _always ;
288

    
289
%/import: %/VegBIEN.csv _always
290
	$(import)
291
# default:
292
%/import: _always ;
293

    
294
##### Log files from import
295

    
296
logs := $(wildcard */logs/*.log.sql */logs/*.trace)
297

    
298
rm_logs: _always
299
	$(RM) $(logs)
300

    
301
##### Verification of import
302

    
303
verify: $(tables:%=%/verify) _always ;
304

    
305
%/verify: verify/%.ref verify/%.out _always
306
	-$(diffVerbose) $(+_)
307
# don't abort on verification errors, which are expected during development
308
# default:
309
%/verify: verify/%.out _always
310
	$(if $(shell test -e $< && echo t),cat $<)
311
# don't run if verify/%.out's default do-nothing action was used
312
# can't use $(wildcard) because it won't recheck file after verify/%.out is run
313

    
314
verify = $(if $(reverify),"time" $(psqlAsBien) --set=datasource="'$(datasrc)'"\
315
--no-align --field-separator=$$'\t' --pset=footer=off --pset=null=NULL <$< >$@)
316

    
317
verify/%.out: $(mappings)/verify.%.sql _always
318
	$(verify)
319
# default:
320
verify/%.out: _always ;
321

    
322
all += $(wildcard verify/*.out)
323

    
324
##### Editing import
325

    
326
rotate: _always
327
	echo "UPDATE party SET organizationname = organizationname||'.$(date)'\
328
WHERE organizationname = '$(datasrc)';"|$(psqlAsBien)
329

    
330
rm: _always
331
	echo "DELETE FROM party WHERE organizationname = '$(datasrc)';"|\
332
$(psqlAsBien)
333

    
334
##### Testing
335

    
336
testRefOutput = $(subst .2-step,,$(subst .staging,,$(1)))
337
testRef = $(testRefOutput).ref
338
hasOwnRef = $(filter $@,$(call testRefOutput,$@))
339
# filter returns non-empty if they are equal
340

    
341
# `rm $@`: Remove outputs of successful tests to reduce clutter
342
define runTest
343
@echo "Testing $(abspath $@)..."
344
>$@ env test=1 n=$(test_n) $(1)
345
@(set -x; $(diffIgnoreSpace) $(call testRef,$@) $@) 2>&1 && rm $@ || { e=$$?;\
346
$(if $(wildcard $(call testRef,$@)),,cat $@;)\
347
$(if $(hasOwnRef),\
348
{\
349
read -p $(emph)'Accept new test output? (y/n)'$(endEmph) REPLY;\
350
if test "$$REPLY" = y; then\
351
(set -x; $(MAKE) $@-ok --directory=$(realpath .) --makefile=../input.Makefile);\
352
exit 0;\
353
fi;\
354
};,\
355
echo $(emph)"Note: The preceding failed test is compared to another test's\
356
output"$(endEmph);\
357
echo $(emph)"When it fails, this always indicates a bug"$(endEmph);\
358
)\
359
exit $$e;}
360
endef
361

    
362
test2Db = $(call runTest,$(map2db))
363

    
364
tests :=
365

    
366
%/test.xml: %/VegBIEN.csv _always
367
	$(test2Db)
368
tests += %/test.xml
369

    
370
testStaged2Db = $(foreach use_staged,1,$(test2Db))
371
    # run with use_staged=1
372

    
373
# Requires staging tables. To create them, run `make inputs/<datasrc>/install`.
374
%/test.staging.xml: %/VegBIEN.csv _always
375
	-$(if $(isCsv),$(testStaged2Db))
376
# Don't abort tester if only staging test fails, in case staging table missing
377
# Non-flat-file inputs fall back to mimicking a successful test
378
tests += %/test.staging.xml
379

    
380
testOutputs := $(foreach test,$(tests),$(tables:%=$(test)))
381

    
382
.PRECIOUS: $(testOutputs) # save outputs of failed tests so they can be accepted
383

    
384
test: _always $(testOutputs) ;
385

    
386
all += $(wildcard %/test*.xml)
387

    
388
# Accepts a test output: make <test_output_path>-ok
389
%-ok: _always
390
	$(CP) $* $(call testRef,$*)
391

    
392
accept-all: _always
393
	+yes|$(selfMake) test
394

    
395
##### Documentation
396

    
397
steps = $(selfMake) -s import-$* test=1 by_col=1 verbosity=2 n=100\
398
2>&1|$(bin)/debug2redmine >$@
399

    
400
%/logs/steps.by_col.log.sql: _always
401
	+$(steps)
(4-4/4)